Tuesday, November 8, 2011

Compile UVM DPI for QuestaSim

Download UVM 1.1 and want to have a try. But when I tried to compile the DPI for QuestaSim:

uvm/examples$ make -f Makefile.questa dpi_lib

I got error message as below:
uvm/src/dpi/uvm_regex.cc:26:22: fatal error: vpi_user.h: No such file or directory
compilation terminated.
make: *** [dpi_lib] Error 1

After check the makefile and here, I found the reason is I don't have MTI_HOME setup in my environment.

Set the MTI_HOME to the install direcotry of QuestaSim. Then make again, everything is fine. 

From now on, start my UVM learning progress. 

Any good resources for UVM, such as tutorial, forum, articles, blogs, etc, are welcomed.

No comments: