Tuesday, December 8, 2009

Xilinx Core Compilation

For VCS:
What we need for the VCS:
  1. -y ${XILINX}/verilog/src/unisims
  2. -y ${XILINX}/verilog/src/XilinxCoreLib
  3. +libext+.v
  4. ${XILINX}/verilog/src/glbl.v

No comments: